Mixed Swing Techniques for Low Energy/Operation Datapath Circuits

نویسندگان

  • Ram Kumar Krishnamurthy
  • R. K. Krishnamurthy
چکیده

iii The portable communications industry's vision of integrating a complete multimedia complex on a single die, coupled with the desktop computing industry's vision of integrating multimedia functionality into general-purpose microprocessors has transformed lowering the power dissipation of digital signal processing (DSP) datapath circuits into an increasingly important challenge in current and future fabrication processes. Fully-static CMOS logic accompanied with supply voltage scaling has enjoyed widespread usage in lowering datapath power dissipation over the last decade. However , fundamental limitations preclude device threshold voltage scaling under the constant drain-source field scaling paradigm in future deep-submicron processes, imposing limitations on voltage scaling. This has motivated a strong necessity for exploring new methodologies to lower the power dissipation of next-generation high-speed datapath circuits. This thesis investigates Mixed Swing techniques for reducing the power dissipa-tion of static CMOS datapath operators while retaining their high performance, or equivalently lowering their energy consumption per switching operation (energy/oper-ation). Mixed swing techniques employ multiple operating voltages to implement standard datapath primitive functions by intermixing high-and low-voltage signal swings while driving interconnect and gate-fanout load capacitances at reduced volt-Abstract iv R.K. Krishnamurthy age swings. Static and dynamic, single-ended and fully-differential mixed swing approaches are investigated to demonstrate the ability to voltage-scale more aggressively than static CMOS well into the deep-submicron regime. Posynomial formulations for power and delay based on submicron MOS models are derived for mixed swing circuits to study and exploit the additional degrees of freedom available in their design space. On the basis of these models, optimization strategies for minimizing energy/operation are proposed and their efficiency is demonstrated on DSP datapath circuits. Worst-case process and temperature corner analyses are conducted to study low-voltage manufacturability and noise immunity challenges in mixed swing circuits. On-chip low-voltage series regulation approaches are developed to efficiently offset intra-and inter-die threshold variations, offering improved low-voltage manufacturability than full-swing static CMOS, while preserving high noise immunity. Further, on-chip series regulation eliminates the necessity for additional explicit off-chip supplies, transforming mixed swing techniques into a self-contained methodology which can replace full-swing static CMOS operating between a regular, high-voltage supply without warranting any technology or system-level modifications. Experimental results showing substantial energy/operation savings are presented from (i) fabricated ICs and intensive circuit simulations on fixed-point DSP multi-plier-accumulators over a range of operand bit-widths, power supply voltages, and commercial 0.5µm-0.16µm bulk-CMOS and fully-depleted SOI processes, and, (ii) data buses and multicast datapath nets of the floating-point units …

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Exploring Mixed Voltage Swings for Low Energy/Operation of Datapath Circuits

GOALS The portable communications industry will be one of the fastest growing consumer market segments in the next decade. Most portable devices are essentially digital signal processing (DSP) circuits interfacing with information from the real-world environment and/or human operators (e.g., handwriting and speech recognition, image understanding). A majority of high-performance DSP circuits (e...

متن کامل

Towards low-power yet high-performance networks-on-chip

A network-on-chip (NoC), the de-facto communication backbone in manycore processors, consumes a significant portion of total chip power, competing against the computation cores for the limited power and thermal budget. On the other hand, overall system performance of manycore chips increasingly relies on on-chip latency and bandwidth as core counts scale. This thesis aims to design low-power ye...

متن کامل

A Low-power 16-bit Multiplier-Accumulator using Series-regulated Mixed Swing Techniques

This paper describes an on-chip series-regulated mixed swing methodology with sleep-mode control for lowering the power consumption of high-performance DSP multiplier-accumulator (MAC) circuits. A 16*16+36-bit Overlapped bit-pair Booth recoded, Wallace tree MAC is fabricated in a commercial 0.5μm CMOS process in the proposed series-regulated methodology and conventional static CMOS. Up to 2.55X...

متن کامل

A Fully Reversible Asymptotically Zero Energy Microprocessor

Reversibility is the only way to compute with asymptotically zero power, and is a novel approach to low power, low energy computing. Recent implementations of reversible and adi-abatic 15, 7] logic in standard cmos silicon processes have motivated further research into reversible computing. The application of reversible computing techniques to reduce energy dissipation of current generation cmo...

متن کامل

Low-swing on-chip signaling techniques: effectiveness and robustness

This paper reviews a number of low-swing on-chip interconnect schemes and presents a thorough analysis of their effectiveness and limitations, especially on energy efficiency and signal integrity. In addition, several new interface circuits presenting even more energy savings and better reliability are proposed. Some of these circuits not only reduce the interconnect swing, but also use very lo...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 1997